F.A.Q
Hand In Hand
Online Acmers
Problem Archive
Realtime Judge Status
Authors Ranklist
 
     C/C++/Java Exams     
ACM Steps
Go to Job
Contest LiveCast
ICPC@China
Best Coder beta
VIP | STD Contests
    DIY | Web-DIY beta
Author ID 
Password 
 Register new ID

View Compilation Error

0_0_39259246_7437.cpp:1:5: error: stray '#' in program
    1 | fdsf#include<bits/stdc++.h>
      |     ^
0_0_39259246_7437.cpp:102:2: error: stray '#' in program
  102 | }#include<bits/stdc++.h>
      |  ^
0_0_39259246_7437.cpp:1:1: error: 'fdsf' does not name a type
    1 | fdsf#include<bits/stdc++.h>
      | ^~~~
0_0_39259246_7437.cpp:8:12: error: 'pair' does not name a type
    8 | using pii =pair<int,int>;
      |            ^~~~
0_0_39259246_7437.cpp:9:11: error: 'pair' does not name a type
    9 | using pll=pair<ll,ll>;
      |           ^~~~
0_0_39259246_7437.cpp:10:10: error: 'pll' was not declared in this scope; did you mean 'll'?
   10 | bool cmp(pll a,pll b){
      |          ^~~
      |          ll
0_0_39259246_7437.cpp:10:16: error: 'pll' was not declared in this scope; did you mean 'll'?
   10 | bool cmp(pll a,pll b){
      |                ^~~
      |                ll
0_0_39259246_7437.cpp:10:21: error: expression list treated as compound expression in initializer [-fpermissive]
   10 | bool cmp(pll a,pll b){
      |                     ^
0_0_39259246_7437.cpp:14:21: error: 'pair' has not been declared
   14 |     bool operator()(pair<ll,ll>a,pair<ll,ll>b){
      |                     ^~~~
0_0_39259246_7437.cpp:14:25: error: expected ',' or '...' before '<' token
   14 |     bool operator()(pair<ll,ll>a,pair<ll,ll>b){
      |                         ^
0_0_39259246_7437.cpp: In member function 'bool cmp1::operator()(int)':
0_0_39259246_7437.cpp:15:12: error: 'a' was not declared in this scope
   15 |         if(a.x==b.x)return a.y>b.y;
      |            ^
0_0_39259246_7437.cpp:15:17: error: 'b' was not declared in this scope
   15 |         if(a.x==b.x)return a.y>b.y;
      |                 ^
0_0_39259246_7437.cpp:16:16: error: 'a' was not declared in this scope
   16 |         return a.x>b.x;
      |                ^
0_0_39259246_7437.cpp:16:20: error: 'b' was not declared in this scope
   16 |         return a.x>b.x;
      |                    ^
0_0_39259246_7437.cpp: At global scope:
0_0_39259246_7437.cpp:29:10: error: 'vector' in namespace 'std' does not name a template type
   29 |     std::vector<T> tree;
      |          ^~~~~~
0_0_39259246_7437.cpp:1:1: note: 'std::vector' is defined in header '<vector>'; did you forget to '#include <vector>'?
  +++ |+#include <vector>
    1 | fdsf#include<bits/stdc++.h>
0_0_39259246_7437.cpp: In constructor 'BIT<T>::BIT(int)':
0_0_39259246_7437.cpp:30:24: error: class 'BIT<T>' does not have any field named 'tree'
   30 |     BIT(int n) : n(n), tree(n + 1) {};
      |                        ^~~~
0_0_39259246_7437.cpp: In member function 'T BIT<T>::qry(int)':
0_0_39259246_7437.cpp:34:20: error: 'tree' was not declared in this scope
   34 |             res += tree[i];
      |                    ^~~~
0_0_39259246_7437.cpp: In member function 'void BIT<T>::upd(int, T)':
0_0_39259246_7437.cpp:41:13: error: 'tree' was not declared in this scope
   41 |             tree[i] += z;
      |             ^~~~
0_0_39259246_7437.cpp: In member function 'T BIT<T>::qry(int, int)':
0_0_39259246_7437.cpp:45:20: error: there are no arguments to 'min' that depend on a template parameter, so a declaration of 'min' must be available [-fpermissive]
   45 |         return qry(min(n, r)) - qry(max(0, l - 1));
      |                    ^~~
0_0_39259246_7437.cpp:45:20: note: (if you use '-fpermissive', G++ will accept your code, but allowing the use of an undeclared name is deprecated)
0_0_39259246_7437.cpp:45:37: error: there are no arguments to 'max' that depend on a template parameter, so a declaration of 'max' must be available [-fpermissive]
   45 |         return qry(min(n, r)) - qry(max(0, l - 1));
      |                                     ^~~
0_0_39259246_7437.cpp: In function 'void solve()':
0_0_39259246_7437.cpp:51:9: error: 'cin' was not declared in this scope
   51 |         cin>>n>>q;
      |         ^~~
0_0_39259246_7437.cpp:54:17: error: 'upd' was not declared in this scope
   54 |                 upd(i,a[i]);
      |                 ^~~
0_0_39259246_7437.cpp:60:25: error: 'upd' was not declared in this scope
   60 |                         upd(i+1,x-a[i+1]);
      |                         ^~~
0_0_39259246_7437.cpp:64:25: error: 'vector' was not declared in this scope
   64 |                         vector<int>v,u;
      |                         ^~~~~~
0_0_39259246_7437.cpp:64:32: error: expected primary-expression before 'int'
   64 |                         vector<int>v,u;
      |                                ^~~
0_0_39259246_7437.cpp:67:48: error: 'v' was not declared in this scope
   67 |                                 if(tx%2==1)len=v.size();
      |                                                ^
0_0_39259246_7437.cpp:68:33: error: 'v' was not declared in this scope
   68 |                                 v.pb(tx%2);
      |                                 ^
0_0_39259246_7437.cpp:74:33: error: 'u' was not declared in this scope
   74 |                                 u.pb(tw%2);
      |                                 ^
0_0_39259246_7437.cpp:78:31: error: 'u' was not declared in this scope
   78 |                         while(u.size()<v.size())u.pb(0);
      |                               ^
0_0_39259246_7437.cpp:78:40: error: 'v' was not declared in this scope
   78 |                         while(u.size()<v.size())u.pb(0);
      |                                        ^
0_0_39259246_7437.cpp:79:31: error: 'v' was not declared in this scope
   79 |                         while(v.size()<u.size())v.pb(0);
      |                               ^
0_0_39259246_7437.cpp:79:40: error: 'u' was not declared in this scope
   79 |                         while(v.size()<u.size())v.pb(0);
      |                                        ^
0_0_39259246_7437.cpp:81:30: error: 'qry' was not declared in this scope
   81 |                         ans+=qry(w+1,w+1);
      |                              ^~~
0_0_39259246_7437.cpp:82:39: error: 'u' was not declared in this scope
   82 |                         for(int i=0;i<u.size();i++){
      |                                       ^
0_0_39259246_7437.cpp:83:36: error: 'v' was not declared in this scope
   83 |                                 if(v[i]==1){
      |                                    ^
0_0_39259246_7437.cpp:92:25: error: 'cout' was not declared in this scope
   92 |                         cout<<ans<<endl;
      |                         ^~~~
0_0_39259246_7437.cpp: In function 'int main()':
0_0_39259246_7437.cpp:97:9: error: 'ios' has not been declared
   97 |         ios::sync_with_stdio(false);cin.tie(0);
      |         ^~~
0_0_39259246_7437.cpp:97:37: error: 'cin' was not declared in this scope
   97 |         ios::sync_with_stdio(false);cin.tie(0);
      |                                     ^~~
0_0_39259246_7437.cpp: At global scope:
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |                std
0_0_39259246_7437.cpp:102:11: error: 'bits' was not declared in this scope
  102 | }#include<bits/stdc++.h>
      |           ^~~~
0_0_39259246_7437.cpp:102:16: error: 'stdc' was not declared in this scope; did you mean 'std'?
  102 | }#include<bits/stdc++.h>
      |                ^~~~
      |             


Hangzhou Dianzi University Online Judge 3.0
Copyright © 2005-2024 HDU ACM Team. All Rights Reserved.
Designer & Developer : Wang Rongtao LinLe GaoJie GanLu
Total 0.000000(s) query 1, Server time : 2024-09-20 12:04:11, Gzip enabled